Date of Graduation

12-2009

Document Type

Dissertation

Degree Name

Doctor of Philosophy in Microelectronics-Photonics (PhD)

Degree Level

Graduate

Department

Microelectronics-Photonics

Advisor/Mentor

Leonard W. Schaper

Committee Member

Susan Burkett

Second Committee Member

Ingrid Fritsch

Third Committee Member

Richard Ulrich

Fourth Committee Member

Ken Vickers

Keywords

Applied sciences, Anodization, Capacitors, Decoupling, Niobium pentoxide, Thin films

Abstract

Electronics system miniaturization is a major driver for high-k materials. High-k materials in capacitors allow for high capacitance, enabling system miniaturization. Ta2O5 (k~24) has been the dominant high-k material in the electronic industry for decoupling capacitors, filter capacitors, etc. In order to facilitate further system miniaturization, this project has investigated thin film integrated capacitors with Nb2O5 dielectric. Nb2O5 has k~41 and is a potential candidate for replacing Ta2O5. But, the presence of suboxides (NbO2 and NbO) in the dielectric deteriorates the electrical properties (leakage current, thermal instability of capacitance, etc.). Also, the high oxygen solubility of niobium results in oxygen diffusion from the dielectric to niobium metal, if any is present. The major purpose of this project was to check the ability of NbN as a diffusion barrier and fabricate thermally stable niobium capacitors.

As a first step to produce niobium capacitors, the material characterizations of reactively sputtered Nb2O5 and NbN were done. Thickness and film composition, and crystal structures of the sputtered films were obtained and the deposition parameters for the desired stoichiometry were found. Also, anodized Nb2O5 was characterized for its stoichiometry and thickness.

To study the effect of nitrides on capacitance and thermal stability, Ta2O5 capacitors were initially fabricated with and without TaN. The results showed that the nitride does not affect the capacitance, and that capacitors with TaN are stable up to 150°C. In the next step, niobium capacitors were first fabricated with anodized dielectric and the oxygen diffusion issues associated with capacitor processing were studied. Reactively sputtered Nb2O5 was anodized to form complete Nb2O5 (with few oxygen vacancies) and NbN was used to sandwich the dielectric. The capacitor fabrication was not successful due to the difficulties in anodizing the sputtered dielectric. Another method, anodizing reactively sputtered Nb2O5 and a thin layer of sputtered niobium metal yielded high yield (99%) capacitors. Capacitors were fabricated with and without NbN and the results showed 93% decrease in leakage for a capacitor with ~2000 Å dielectric when NbN was present in the structure. These capacitors could withstand 20 V and showed 2.7 μA leakage current at 5 V. These results were obtained after thermal storage at 100°C and 150°C in air for 168 hours at each temperature.

Two set of experiments were performed using Ta2O5 dielectric: one to determine the effect of anodization end point on the thickness (capacitance) and the second to determine the effect of boiling the dielectric on functional yield. The anodization end point experiment showed that the final current of anodization along with the anodizing voltage determines the anodic oxide thickness. The lower the current, the thicker the films produced by anodization. Therefore, it was important to specify the final current along with the anodization voltage for oxide growth rate. The capacitors formed with boiled wafers showed better functional yield 3 out of 5 times compared with the unboiled wafer.

Niobium anodization was studied for the Nb―>Nb2O5 conversion ratio and the effect of anodization bath temperature on the oxide film; a color chart was prepared for thicknesses ranging from 1900 Å -- 5000 Å. The niobium metal to oxide conversion ratio was found to change with temperature.

Another area explored in this research was trench capacitors. Fabricating capacitors in trenches yields high capacitance due to increased plate area without increasing device area. The fabrication steps were studied and the issues are discussed.

Share

COinS